CAD

Guide de démarrage rapide : Exécution de Xilinx Vivado sur la grappe de calcul de CAD

Exigences ou restrictions en matière de licences
Des conditions générales d’utilisation s’appliquent à ce logiciel. Pour obtenir de plus amples renseignements, reportez-vous aux Exigences ou restrictions en matière de licences sur la page associée au produit :

Table des matières

CADpass client secure connection (CADpass client ou CADpass dans le présent document) est un utilitaire de CMC Microsystèmes établissant une connexion sécurisée entre les ordinateurs, les postes de travail et les sessions informatiques du client d’une part, et l’infrastructure du RNCC gérée par CMC d’autre part. 

La grappe de calcul de CAD de CMC Microsystèmes peut accélérer les exécutions de synthèse et de mise en œuvre de Xilinx Vivado. Puisque la grappe ne prend pas en charge Vivado en mode d’interface graphique pour le moment, Vivado doit être exécuté en mode par lot ou Tcl exécutant un script Tcl.

Vous devrez copier les scripts et les fichiers de projet vers la grappe de calcul de CAD (par scp ou sftp) et copier les résultats vers votre machine locale. Pour des instructions sur la manière d’accéder à la grappe de calcul de CAD et de copier les fichiers de projet vers votre instance, reportez-vous au guide d’instruction sur la grappe de calcul de CAD de CMC.

Exécution de Xilinx Vivado sur la grappe de calcul de CAD

Vous disposez de plusieurs options pour exécuter un projet Xilinx Vivado sur la grappe de calcul de CAD en fonction de l’état de votre projet.

Option 1 : Vous avez déjà un projet en mode par lot Vivado

  1. Copiez le script Vivado Tcl ainsi que tous les fichiers sources vers votre compte sur la grappe.
  2. Créez un script d’interpréteur Bash qui exécute Vivado en mode par lot.

Par exemple, si votre script Tcl est myproject.tcl, votre script d’interpréteur, myproject.sh, correspondra à ce qui suit :

#!/bin/sh
vivado -mode tcl -source myprooooject.tcl

  1. Soumettez votre tâche :

module load xilinx/vivado.2019.2
bsub < myproject.sh

module unload xilinx/vivado.2019.2

Option 2 : Vous devez générer un script Tcl de projet à partir de l’interface graphique de Vivado

  1. Ouvrez votre projet dans l’interface graphique de Vivado sur votre machine locale.
  2. Dans l’interface graphique de Vivado, sélectionnez File | Project | Write TCL (fichier | projet | écrire Tcl).
  3. Cliquez sur OK.

Le fichier Tcl produit configurera les sources, les contraintes et les réglages du projet.

  1. Pour ajouter les étapes de synthèse et de mise en œuvre, ajoutez des lignes similaires à celles ci-dessous à la fin du script (reportez-vous au document de vue d’ensemble des flux de conception dans Vivado pour connaître d’autres options) :

launch_run synth_1
wait_on_run synth_1
open_run synth_1
report_timing_summary
launch_run -to_step write_bitstream impl_1
wait_on_run impl_1
open_run impl_1
report_timing_summary

  1. Compressez le projet et le fichier Tcl au format zip/tar.
  2. Copiez le projet et le fichier Tcl compressés vers votre compte sur la grappe de calcul de CAD.
  3. Décompressez le projet.
  4. Créez un script d’interpréteur pour exécuter le script Tcl :

#!/bin/sh
vivado -mode tcl -source project_2.tcl -tclargs --project_name project_new

Remarque : Vous devrez peut-être renommer votre projet, sinon la commande create_project risque de causer une erreur.

  1. Soumettez votre tâche :

module load xilinx/vivado.2019.2
bsub < myproject.sh
module unload xilinx/vivado.2019.2

Option 3 : Vous devez générer des scripts d’exécution de synthèse et de mise en œuvre à partir de l’interface graphique de Vivado

  1. Ouvrez votre projet dans l’interface graphique de Vivado sur votre machine locale.
  2. Dans l’interface graphique de Vivado, sélectionnez Flow | Create Runs (flux | créer des exécutions).
  3. Dans la fenêtre Create New Runs (créer de nouvelles exécutions), sélectionnez « Synthesis » (synthèse), « Implementation » (mise en œuvre) ou « Both » (les deux), puis cliquez sur Next (suivant).
    • Si la fenêtre Configure Synthesis Runs (configurer des exécutions de synthèse) apparaît, cliquez sur Next (suivant).
    • Si la fenêtre Configure Implementation Runs (configurer des exécutions de mise en œuvre) apparaît, cliquez sur Next (suivant).
  4. Dans la fenêtre Launch Options (options de lancement), sélectionnez Generate scripts only (générer les scripts seulement) et cliquez sur Next (suivant).
  5. Cliquez sur Finish (terminer).
  6. Compressez le projet.
  7. Copiez le projet vers votre compte sur la grappe de calcul de CAD.
  8. Décompressez le projet.
  9. Changez vers le dossier d’exécution de synthèse, par exemple :

cd project_1/project_1.runs/synth_1

  1. Assurez-vous que les chemins dans les fichiers runme.sh et wave_gen.tcl correspondent à votre compte sur la grappe de calcul de CAD.

Par exemple, si le projet Vivado sur votre machine locale est /eng/home/hugh/project_1 et que le chemin vers le projet sur la grappe de calcul de CAD est /home/hugh/project_1, utilisez la commande sed pour trouver et remplacer les chemins :

sed 's+/eng/home/hugh +/home/hugh +g' wave_gen.tcl >> wave_gen.tcl2
mv wave_gen.tcl2 wave_gen.tcl
sed 's+/eng/home/hugh +/home/hugh +g' runme.sh >> runme.sh2
mv runme.sh2 runme.sh
chmod u+x runme.sh ISEWrap.sh

  1. Soumettez votre tâche :

module load xilinx/vivado.2019.2
bsub < runme.sh
module unload xilinx/vivado.2019.2

  1. Répétez des étapes similaires pour l’exécution de mise en œuvre, par exemple « project_1/project_1.runs/impl_1 ».

Ressources

Xilinx dispose de nombreux documents utiles sur l’exécution en mode par lot ou Tcl, notamment :

Votre recherche bénéficie-t-elle des produits et services offerts par CMC Microsystèmes ?

Retour en haut

We use cookies

CMC uses cookies to ensure you get the best experience on our website

Aller au contenu principal